[发明专利]R波检测方法和设备、计算机可读存储介质在审

专利信息
申请号: 201811582222.3 申请日: 2018-12-24
公开(公告)号: CN111345812A 公开(公告)日: 2020-06-30
发明(设计)人: 张晓帆;N·扎伊迪;喻娇 申请(专利权)人: ZOLL医疗公司
主分类号: A61B5/0456 分类号: A61B5/0456;G06K9/00
代理公司: 北京林达刘知识产权代理事务所(普通合伙) 11277 代理人: 刘新宇
地址: 美国马*** 国省代码: 暂无信息
权利要求书: 查看更多 说明书: 查看更多
摘要: 本公开涉及R波检测方法和设备、计算机可读存储介质。一种在ECG信号中定位R波的检测方法,包括:对所获取的ECG信号进行预处理;基于预处理后的ECG信号,根据常规R波检测流程进行检测,并导出QRS参考特征组;利用所述QRS参考特征组,根据快速R波检测流程来检测R波,其中,所述常规R波检测流程是基于所述预处理中获取的ECG滤波数据和ECG积分数据,所述快速R波检测流程检测出R波的速度比所述常规R波检测流程检测出R波的速度快。
搜索关键词: 检测 方法 设备 计算机 可读 存储 介质
【主权项】:
暂无信息
下载完整专利技术内容需要扣除积分,VIP会员可以免费下载。

该专利技术资料仅供研究查看技术是否侵权等信息,商用须获得专利权人授权。该专利全部权利属于ZOLL医疗公司,未经ZOLL医疗公司许可,擅自商用是侵权行为。如果您想购买此专利、获得商业授权和技术合作,请联系【客服

本文链接:http://www.vipzhuanli.com/patent/201811582222.3/,转载请声明来源钻瓜专利网。

同类专利
  • 心跳检测方法和心跳检测设备-201580047949.X
  • 松浦伸昭;桑原启;高河原和彦;川野龙介;小泉弘 - 日本电信电话株式会社
  • 2015-08-28 - 2020-11-06 - A61B5/0456
  • 一种心跳检测方法包括:峰值搜索步骤,用于搜索从活体的心电图波形的采样数据序列获得的值M从减小变为增大的峰值;以及心跳时刻确定步骤,用于获得峰值时刻T之前的预定时域(T‑Δt2)至(T‑Δt1)内的值M的最小值以及峰值时刻T之后的预定时域(T+Δt1)至(T+Δt2)内的值M的最小值,其中Δt2Δt1,确定这两个最小值是否等于或大于通过将预定量与峰值时刻T处的值M相加而获得的值,并且如果这两个最小值都等于或大于通过将预定量与峰值时刻T处的值M相加而获得的值,则将所述峰值时刻T设置为心跳时刻。
  • 一种基于几何形态群组特征的R波检测方法-201810497939.1
  • 蒋建豫;李润川;刘炜;王宗敏 - 郑州大学
  • 2018-05-22 - 2020-10-30 - A61B5/0456
  • 本发明涉及一种基于几何形态群组特征的R波检测方法,首先采用由FIR低通滤波器和中值滤波器构成滤波器组对输入心电信号(ECG)集合V进行信号预处理;然后对集合V中的所有数值进行取绝对值运算,把运算后的值存入集合V'中,再对集合V'中所有值做一阶差分运算,把结果存入数组U;接着确定数组U的几何形态的起始点Gs,峰值点Gp,结束点Ge存入数组D中;确定数组D中的几何形态Ci存入数组C;计算集合V'中的每点几何特征值Kdot;算出连续四点几何特征值的均值后再计算Kgs值存入数组K;接下来选出候选R波,最后确定有效R波,将其存入数组R,输出即为R波位置的集合;本发明具有监测准确率高、监测过程简便、自适应性强、普适性高的优点。
  • 一种心电图数据R波检测方法及装置-201710676669.6
  • 戴炜 - 广东虹勤通讯技术有限公司
  • 2017-08-09 - 2020-10-09 - A61B5/0456
  • 本申请提供了一种心电图数据R波检测方法,获取已经过预处理的心电图数据;根据预设搜索时间窗将所述心电图数据划分为多个区间,并分别根据每个所述区间中检测到的最大采样值确定每个所述区间的R波阈值;分别依据每个所述区间的R波阈值在每个所述区间中设置多个检测范围,并将每个所述检测范围内最大采样值确定为R波峰值;计算相邻R波的间期均值;根据所述间期均值,基于预设误检标准和预设漏检标准,判断每个所述区间是否存在误检和/或漏检;若是,依据预设规则重新设定存在误检和/或漏检的区间的R波阈值,并根据重新设定的R波阈值对存在误检和/或漏检的区间进行R波检测,提高了R波检测的准确率,降低误检率和漏检率。
  • 识别用于电生理映射的模糊心脏信号-201680044977.0
  • 杜娟;S·高希;J·M·吉尔伯格 - 美敦力公司
  • 2016-05-13 - 2020-08-28 - A61B5/0456
  • 公开了一种系统及相关联的方法,所述系统包括:电极装置,所述电极装置包括被配置成邻近患者的组织定位的多个电极。显示装置,所述显示装置包括图形用户界面,所述图形用户界面被配置用于向用户呈现信息。计算装置,所述计算装置被耦合至所述电极装置和所述显示装置,所述计算装置被配置用于判定从与来自多个电极中的电极相关联的通道获取的信号是否模糊。所述计算装备用于:计算所述信号的一阶导数;根据所述一阶导数来确定一阶最小导数;根据窗口内的二阶导数和第二索引来确定二阶最小导数;计算所述一阶导数与所述二阶导数的比率;计算第一索引与第二索引之间的差值。所述显示装置显示所述信号是否模糊。
  • 利用人工智能的时间序列数据学习及分析方法-201980007119.2
  • 吴圭三;田恩周;权纯焕;孙炯官;尹用根;金珉洙;吕泫珠 - 三星SDS株式会社
  • 2019-03-27 - 2020-08-21 - A61B5/0456
  • 本发明提供利用人工智能的时间序列数据的学习及分析方法。根据本发明一实施例的时间序列数据分析方法,由计算装置执行,其可以包括:对于上述时间序列数据在时间轴上被分割的多个单位中的各个单位,将各个上述单位的特征(feature)输入到中间人工神经网络的步骤;从上述中间人工神经网络获得m(m为2以上的自然数)维的中间输出数据的步骤;将时间上紧邻的多个单元的上述中间输出数据输入到最终人工神经网络,获得从上述最终人工神经网络输出的最终输出数据的步骤;及利用上述最终输出数据生成上述时间序列数据的分析结果的步骤。
  • R波检测方法和设备、计算机可读存储介质-201811582222.3
  • 张晓帆;N·扎伊迪;喻娇 - ZOLL医疗公司
  • 2018-12-24 - 2020-06-30 - A61B5/0456
  • 本公开涉及R波检测方法和设备、计算机可读存储介质。一种在ECG信号中定位R波的检测方法,包括:对所获取的ECG信号进行预处理;基于预处理后的ECG信号,根据常规R波检测流程进行检测,并导出QRS参考特征组;利用所述QRS参考特征组,根据快速R波检测流程来检测R波,其中,所述常规R波检测流程是基于所述预处理中获取的ECG滤波数据和ECG积分数据,所述快速R波检测流程检测出R波的速度比所述常规R波检测流程检测出R波的速度快。
  • 一种心电R波定位方法-201710446873.9
  • 庞春颖;张晗 - 长春理工大学
  • 2017-06-14 - 2020-06-26 - A61B5/0456
  • 一种心电R波定位方法,涉及信号处理技术领域,解决现有技术存在的心电信号动态采集过程中引入噪声、心电信号R波识别率第、运算速度慢的问题,包括形态学处理,移动窗处理,生理阈值处理,本发明方法能够抑制心电信号采集过程中各种复杂的干扰和噪声,对心电信号的识别率高,时间复杂度低,同时减少硬件电路开销,缩减整体设备体积,减轻系统重量,从而提高可穿戴设备的便携性,因此可广泛应用于嵌入式设备和手机中。本发明减少了可穿戴设备中CPU计算量,降低设备功耗,使CPU能够执行更多的功能,这对可穿戴设备来说至关重要。
  • 儿科患者的导管位置确定-201880068075.X
  • A·牛顿;B·伯格曼;M·优素福;C·梯达;商承宇;徐薇欣 - 纳维医疗技术有限公司
  • 2018-10-19 - 2020-06-12 - A61B5/0456
  • 当将导管或其他医疗设备插入儿童、青少年或其他儿科患者时,可从导管记录ECG信号,并通过分析ECG信号确定导管的位置。当将导管插入儿科患者时,信号处理器和用户界面可以实时地从导管接收记录的信号。信号处理器可以分析ECG信号以确定导管在儿科患者中的位置。当用户插入导管时,用户界面可以向用户显示导管的位置和其他相关信息。一种确定位置的方法可包括确定ECG信号的R波峰和P波峰,以及从ECG信号中R波峰和P波峰的平均位置确定位置。
  • R波的检测方法、装置及电子设备-201710367274.8
  • 汪孔桥;赵威;管中达;赵亚军;李晓峰;黄汪 - 安徽华米智能科技有限公司
  • 2017-05-23 - 2020-05-12 - A61B5/0456
  • 本申请提供一种R波的检测方法、装置及电子设备,该方法包括:确定心电图信号在每一个采集周期内的梯度方向序列;在所述梯度方向序列中,确定至少一个峰点;基于所述至少一个峰点各自的幅值,确定用于识别R波的分割阈值;在所述至少一个峰点中,将幅值大于所述分割阈值的峰点各自对应的时间点确定为所述R波的时间点。本申请的技术方案通过梯度方向序列不仅能够有效滤除心电图信号的噪声,还能够很好地抑制住R峰(R波的波峰)周围较强的尖刺噪声,因此本申请对于非标准的、强干扰的、病变的心电图信号有更好的适用性。
  • 一种心电信号R波的识别方法、装置、计算机设备-201910291457.5
  • 钱春强;苏红宏 - 浙江清华柔性电子技术研究院
  • 2019-04-11 - 2019-05-31 - A61B5/0456
  • 一种心电信号R波的识别方法,通过对心电信号进行滤波以消除高频噪声毛刺和基线漂移,基于采样点斜率的变化识别波峰,并计算波峰对应的上升沿和下降沿的高度,基于所述第一距离范围内的波峰平均高度累积量与中间波的第一波峰大小可以很好的识别R波,并且可以R波的特征实现对心电信号的利用,算法简单,结果不会受基线漂移的影响,更加准确,同时不需要根据个人情况预先设置阈值,通用性更好。
  • 心血管波形的基于模板的分析和分类-201780039112.X
  • E·高希;C·M·波特斯布兰东;R·E·格雷格 - 皇家飞利浦有限公司
  • 2017-06-12 - 2019-02-05 - A61B5/0456
  • 在各种实施例中,可以识别(302)被分配给表示患者的心脏中的电活动的电波形的周期性分量的第一分类。可以分析(306、318、328)表示所述患者的心血管系统中的血液动力学活动的血液动力学波形的对应的周期性分量。所述对应的周期性分量与所述电波形的所述周期性分量因果相关。基于所述分析,响应于基于所述分析而确定先前分配的分类也适用于所述对应的周期性分量,可以将先前分配的分类分配(312、324)给所述血液动力学波形的所述对应的周期性分量。在血液动力学模板的数据库(130)中,可以更新(314)与先前分配的分类相关联的血液动力学模板以包括所述血液动力学波形的所述对应的周期性分量的一个或多个特征。
  • 一种心电信号R波检测方法及系统-201810728205.X
  • 董喜艳 - 四川斐讯信息技术有限公司
  • 2018-07-06 - 2018-12-28 - A61B5/0456
  • 本发明公开了一种心电信号R波检测方法及系统,方法包括:对心电信号进行初始R波检测,得到当前R波的极值点;计算当前R波的极值点T1内的信号Vpp值,T1=t1+t2;计算当前R波的极值点T2内的噪声Vpp值,T2=t3‑t1+t4‑t2,t3>t1,且t1∈t3,t4>t2,且t2∈t4;计算当前R波的信号Vpp值与噪声Vpp值的比值;若当前R波的比值小于当前R波的比值阈值,判定当前R波为噪声。本发明在检测到R波后在进行信噪比检测,可剔除类似R波的噪声,进一步提高R波检测的准确率。
  • 一种基于深度学习法心电节拍特征自动化提取及分类方法-201711167530.5
  • 李润川 - 郑州大学
  • 2017-11-21 - 2018-12-25 - A61B5/0456
  • 本发明涉及一种基于深度学习法心电节拍特征自动化提取及分类方法,其中,心电节拍特征自动化提取方法包括以下步骤:1)、采用双正交小波变换去除高频噪声和基线漂移;2)、通过二进样条小波变换产生极大极小值检测R波;3)、根据步骤2)R波基础上检测QRS波群及P、T波;然后,通过双向长短期记忆网络(Bi‑LSTM)对检测的波形数据信息进行心拍学习分类检测到的波形数据信息进行深度学习分类;本发明具有有效简化特征提取程序、对波形进行精准定位、心电信号精准分类的优点。
  • 一种心电信号R波检测方法及系统-201810729895.0
  • 董喜艳 - 四川斐讯信息技术有限公司
  • 2018-07-06 - 2018-12-18 - A61B5/0456
  • 本发明公开了一种心电信号R波检测方法及系统,方法包括:对心电信号进行初始R波检测,得到若干个R波的极值点和第一特征参数;根据若干个R波的第一特征参数计算得到特征参数阈值;计算当前R波的信噪比;若当前R波的信噪比大于当前R波对应的信噪比阈值,则计算当前R波的第二特征参数;若当前R波的第二特征参数满足所述特征参数阈值时,则当前R波为目标R波;当得到目标R波后,根据目标R波的第一特征参数更新初始R波检测时的阈值。本发明先通过初始检测,然后通过信噪比检测,进一步去除类似R波的噪声,最后再根据初始检测到的R波计算得到的特征参数阈值对R波进行更严格的检测,使得最后检测出的R波的准确率较高。
  • 一种心电信号R波检测方法及系统-201810734046.4
  • 董喜艳 - 四川斐讯信息技术有限公司
  • 2018-07-06 - 2018-12-18 - A61B5/0456
  • 本发明公开了一种心电信号R波检测方法及系统,方法包括以下步骤:计算心电信号的各个采样点的二阶差分值;若当前采样点的二阶差分值大于第一预设差分阈值,则在心电信号中找到当前采样点的前拐点和后拐点;根据前拐点、当前采样点和后拐点组成一波形,计算波形的特征参数,若特征参数满足预设条件,则确定当前采样点对应的波形为R波。本发明先通过二阶差分值对波形的圆钝进行判别,筛选出R波和类似R波,然后根据波形的特征参数进一步筛选出真正的R波;整个计算过程没有涉及到过大的计算量,所以算法复杂度低;并且通过二阶差分值和R波的波形特征参数进行双重筛选,可提高R波的检测准确率。
  • 动态心电时间散点图逆向分析方法-201810680078.0
  • 方宇;魏晓舟;刘国立 - 广州迪茂信息科技有限公司
  • 2018-06-27 - 2018-11-20 - A61B5/0456
  • 本发明涉及一种动态心电时间散点图逆向分析方法,包括以下步骤:S1:对采集来的动态心电信号进行自动分析识别R波位置;S2‑1:根据步骤S1识别的R波位置,将相邻R波的间隔作为纵坐标,相邻R波的间隔也作为横坐标,绘制时间散点图;S2‑2:将时间散点图上圈选的范围,进行逆向运算;S2‑3:将通过时间散点图逆向方法找到的多个心电图片段显示出来,选择任一心电图片段放大,供用户对比查看。本发明提供的动态心电时间散点图逆向分析方法能够有效地辅助操作者将不同间期范围及时间段的心电波形筛选出,从而进行批量编辑操作。
  • 一种心电图R波检测方法及装置-201510998973.3
  • 王宏飞;颜昊霖;牟荣增 - 中科院微电子研究所昆山分所
  • 2015-12-28 - 2018-06-08 - A61B5/0456
  • 本申请提供了一种心电图R波检测方法及装置,心电图R波检测方法包括:计算心电图ECG信号的第i1个信号采样点的斜率算子,所述i1={1,2,…,N},N为大于1的整数,N为ECG信号的信号采样点的个数;在第i1个信号采样点的斜率算子大于预设斜率算子阈值时,在第i1个信号采样点所属预设长度的信号片段内查找最大斜率算子;将最大斜率算子的坐标作为R波坐标,以完成对R波的检测。在本申请中,保证查找到的最大斜率算子更加精确,从而使R波坐标更加精确,使检测到的R波更加可靠,有效的完成了对R波的检测。
  • 一种人体心电R波检测系统-201621488075.X
  • 刘邝朋;李红刚;杨中元;任宇坪 - 天津市鹰泰利安康医疗科技有限责任公司
  • 2016-12-30 - 2018-04-03 - A61B5/0456
  • 本实用新型提供了一种人体心电R波检测系统,包括脉搏波信号采集模块、信号处理单元、高压脉冲肿瘤治疗系统,脉搏波信号采集模块将采集的脉搏信息传递给信号处理单元,信号处理单元将接收到的脉搏信号处理后发送给实时显示装置和高压脉冲肿瘤治疗系统,高压脉冲肿瘤治疗系统接收到信号后实现放电过程。脉搏波是以血液流动为载体的一种能量表现形式,其传导不受高频高压电信号影响,使用本实用新型在高压脉冲肿瘤治疗中,无需等待恢复时间,仍可计算出R波时刻,数据稳定可靠,避免了传统心电导联方法检测R波易受高压脉冲信号影响的缺陷,降低手术过程中高压脉冲对病人的风险。
  • 一种心电信号波形特征点的提取方法及装置-201610120611.9
  • 郑慧敏 - 深圳竹信科技有限公司
  • 2016-03-03 - 2018-03-16 - A61B5/0456
  • 本发明公开了一种心电信号波形特征点的提取方法包括QRS波点、P波点和T波点的位置确定。QRS波点的位置确定平稳小波变换处理心电信号,确定QRS波体现最优的目标层和T、P波体现最优的目标层,找出相应目标层的极大值极小值对,并去除不符合要求的极大值极小值对,对R波点位置进行错检和漏检,获得最终的R波点位置,然后确定Q波点和S波点位置,根据T、P波体现最优的目标层确定的QRS波确定P波点和T波点的位置。上述QRS波点、T波点及P波点位置的确定均用到了平稳小波变换,平稳小波变换相对于离散小波变换能够有效避免尺度变大时分辨率的损伤,同时移动不变形,有效解决了现有技术中存在的问题。
  • 一种R波检测装置-201610719481.0
  • 李柳丹 - 四川锦江电子科技有限公司
  • 2016-08-24 - 2018-03-09 - A61B5/0456
  • 本发明公开了一种R波检测装置,包括电生理信号检测模块、中值滤波模块、校正补偿模块、带通滤波模块、幅度比例时间联合滤波模块、小波分解模块、以及R波选择模块。其能够有效减小因心电信号电压基线漂移和外部信号干扰造成的心率感知误差,提高R波检测的准确度。
  • 一种心电图R波检测方法及装置、便携式智能移动终端-201610305877.0
  • 王元元;陈岚;吕超 - 中国科学院微电子研究所
  • 2016-05-10 - 2017-11-17 - A61B5/0456
  • 本申请提供一种心电图R波检测方法及装置、便携式智能移动终端;所述心电图R波检测方法包括获取采样当前值,预设区域时间段,并在所述区域时间段内获取待判断采样值,判断采样当前值的振幅是否大于待判断采样值的振幅,若否时,将待判断采样值作为新的采样当前值,再重新开始区域时间段,继续比较,直到该区域时间段内的待判断采样值的振幅都小于所述采样当前值的振幅时,确定所述采样当前值为R波。利用在心电周期中正常心电R波的振幅是区域极大值的特点,只需要不断采样、判断比较采样值的振幅和采样当前值的振幅大小即可实现R波的快速检测。由于对存储要求和运算部件要求较低,从而能够容易地在智能手机等移动终端上实现R波的检测。
  • 一种心电图R波检测方法以及系统-201710277305.0
  • 高卫红;刘德清 - 深圳开立生物医疗科技股份有限公司
  • 2017-04-25 - 2017-07-25 - A61B5/0456
  • 本发明实施例公开了一种心电图R波检测方法以及系统,用于提高R波检测的准确性。本发明实施例方法包括采集心电信号,并将心电信号作为原始信号;根据原始信号,获取第一信号和第二信号;分别对第一信号和第二信号按照预设方式进行滤波处理,得到滤波后的ECG信号和QRS波群信号;对QRS波群信号进行R波检测,以获取R波的数据信息;将R波的数据信息映射至ECG信号,以获取心电信号的R波。
  • 控制用于检测生理信号的顶峰的阈值的设备和方法-201310067856.6
  • 崔昌穆;金渊皓;申健洙 - 三星电子株式会社
  • 2013-03-04 - 2017-05-17 - A61B5/0456
  • 提供控制用于检测生理信号的顶峰的阈值的设备和方法。用于检测要进行检查的人的生理信号或者可变地控制用于检测要进行检查的人的生理信号的顶峰的阈值的方法和设备。该方法包括获得从要进行检查的人测量的生理信号;根据对获得的生理信号与阈值进行比较的结果确定是否检测到生理信号的顶峰;以及根据确定的结果考虑阈值和检测的顶峰的特征值之一和用于检测生理信号的顶峰的阈值的预定最小值来控制阈值。当用于检测生理信号的顶峰的可变阈值被控制时,即使顶峰之间的间隔不规则或者顶峰的值存在大差别,仍能够精确检测顶峰。
  • 一种多通道心电图的QRS自动划定方法-201610388877.1
  • 赵晓鹏;姚剑;何挺挺;姚志邦 - 浙江铭众科技有限公司;浙江铭众医疗器械有限公司;浙江铭众生物医用材料与器械研究院
  • 2016-06-02 - 2017-03-29 - A61B5/0456
  • 本发明公开了一种多通道心电图的QRS自动划定方法,其采用小波变换生成每个通道的实时能量曲线,对每个通道的能量曲线计算其峰度和其他信号质量的度量,对能量曲线取其绝对值,根据信号质量的度量对多个通道取绝对值后的能量曲线计算其加权平均,该平均后的能量曲线作为综合的心电能量曲线,并对其进行划定。由此本发明避免了由于个别通道信号划定不同步而产生R峰检测出错的问题;同时在信号拟合的过程中参考了信号的峰度值,避免了由于某通道信号波形质量太差而造成整体综合能量曲线质量下降的问题。因此本发明方法检测得到的心电R峰效果稳定准确,特别是当心电信号中部分通道信号质量不过关时,也能准确的检测到R峰的位置。
  • 一种心律异常自动标识装置-201410066162.5
  • 付云波;许海芬 - 深圳市理邦精密仪器股份有限公司
  • 2014-02-26 - 2017-03-22 - A61B5/0456
  • 本发明涉及医疗仪器技术领域,具体涉及一种心律异常自动标识装置及其标识方法,本发明的方法包括接收心电图机所获取的实时的心律数据,并对该心律数据进行预处理,得到心律参数与第一判断阈值和第二判断阈值;将心律参数与第一、二判断阈值进行比较,根据比较结果在该心律参数中添加不同的标记;再对心律参数中的标记进行判断,并根据心律参数中的标记调取颜色参数,并根据颜色参数以其颜色对该心律参数中的波形进行绘制;本发明采用不同颜色的标记对不同严重等级的波形进行标识;其使得用户可以根据标记的颜色判断心律数据等级,其大大的提高了用户的诊断效率,也有效的保证了诊断的质量。
专利分类
×

专利文献下载

说明:

1、专利原文基于中国国家知识产权局专利说明书;

2、支持发明专利 、实用新型专利、外观设计专利(升级中);

3、专利数据每周两次同步更新,支持Adobe PDF格式;

4、内容包括专利技术的结构示意图流程工艺图技术构造图

5、已全新升级为极速版,下载速度显著提升!欢迎使用!

请您登陆后,进行下载,点击【登陆】 【注册】

关于我们 寻求报道 投稿须知 广告合作 版权声明 网站地图 友情链接 企业标识 联系我们

钻瓜专利网在线咨询

400-8765-105周一至周五 9:00-18:00

咨询在线客服咨询在线客服
tel code back_top