[发明专利]一种心电图R波检测方法及装置、便携式智能移动终端在审

专利信息
申请号: 201610305877.0 申请日: 2016-05-10
公开(公告)号: CN107348957A 公开(公告)日: 2017-11-17
发明(设计)人: 王元元;陈岚;吕超 申请(专利权)人: 中国科学院微电子研究所
主分类号: A61B5/0456 分类号: A61B5/0456
代理公司: 北京集佳知识产权代理有限公司11227 代理人: 王宝筠
地址: 100029 北京市*** 国省代码: 北京;11
权利要求书: 查看更多 说明书: 查看更多
摘要: 本申请提供一种心电图R波检测方法及装置、便携式智能移动终端;所述心电图R波检测方法包括获取采样当前值,预设区域时间段,并在所述区域时间段内获取待判断采样值,判断采样当前值的振幅是否大于待判断采样值的振幅,若否时,将待判断采样值作为新的采样当前值,再重新开始区域时间段,继续比较,直到该区域时间段内的待判断采样值的振幅都小于所述采样当前值的振幅时,确定所述采样当前值为R波。利用在心电周期中正常心电R波的振幅是区域极大值的特点,只需要不断采样、判断比较采样值的振幅和采样当前值的振幅大小即可实现R波的快速检测。由于对存储要求和运算部件要求较低,从而能够容易地在智能手机等移动终端上实现R波的检测。
搜索关键词: 一种 心电图 检测 方法 装置 便携式 智能 移动 终端
【主权项】:
一种心电图R波检测方法,其特征在于,包括:步骤A:获取采样当前值;步骤B:以所述采样当前值的时间点作为起点,预设区域时间段;步骤C:在所述区域时间段内依采样频率获取一采样值,将所述采样值作为待判断采样值;步骤D:判断所述采样当前值的振幅是否大于所述待判断采样值的振幅;若否,则进入步骤E:将所述待判断采样值作为采样当前值,返回步骤B;若是,则进入步骤F:获取下一个采样值,并将下一个采样值作为待判断采样值,返回步骤D;直至所述区域时间段结束,确定所述采样当前值为R波,则进入步骤G;步骤G:以所述区域时间段内最后一个采样值作为采样当前值,返回步骤B。
下载完整专利技术内容需要扣除积分,VIP会员可以免费下载。

该专利技术资料仅供研究查看技术是否侵权等信息,商用须获得专利权人授权。该专利全部权利属于中国科学院微电子研究所,未经中国科学院微电子研究所许可,擅自商用是侵权行为。如果您想购买此专利、获得商业授权和技术合作,请联系【客服

本文链接:http://www.vipzhuanli.com/patent/201610305877.0/,转载请声明来源钻瓜专利网。

同类专利
  • 一种心电信号R波的识别方法、装置、计算机设备-201910291457.5
  • 钱春强;苏红宏 - 浙江清华柔性电子技术研究院
  • 2019-04-11 - 2019-05-31 - A61B5/0456
  • 一种心电信号R波的识别方法,通过对心电信号进行滤波以消除高频噪声毛刺和基线漂移,基于采样点斜率的变化识别波峰,并计算波峰对应的上升沿和下降沿的高度,基于所述第一距离范围内的波峰平均高度累积量与中间波的第一波峰大小可以很好的识别R波,并且可以R波的特征实现对心电信号的利用,算法简单,结果不会受基线漂移的影响,更加准确,同时不需要根据个人情况预先设置阈值,通用性更好。
  • 心血管波形的基于模板的分析和分类-201780039112.X
  • E·高希;C·M·波特斯布兰东;R·E·格雷格 - 皇家飞利浦有限公司
  • 2017-06-12 - 2019-02-05 - A61B5/0456
  • 在各种实施例中,可以识别(302)被分配给表示患者的心脏中的电活动的电波形的周期性分量的第一分类。可以分析(306、318、328)表示所述患者的心血管系统中的血液动力学活动的血液动力学波形的对应的周期性分量。所述对应的周期性分量与所述电波形的所述周期性分量因果相关。基于所述分析,响应于基于所述分析而确定先前分配的分类也适用于所述对应的周期性分量,可以将先前分配的分类分配(312、324)给所述血液动力学波形的所述对应的周期性分量。在血液动力学模板的数据库(130)中,可以更新(314)与先前分配的分类相关联的血液动力学模板以包括所述血液动力学波形的所述对应的周期性分量的一个或多个特征。
  • 一种心电信号R波检测方法及系统-201810728205.X
  • 董喜艳 - 四川斐讯信息技术有限公司
  • 2018-07-06 - 2018-12-28 - A61B5/0456
  • 本发明公开了一种心电信号R波检测方法及系统,方法包括:对心电信号进行初始R波检测,得到当前R波的极值点;计算当前R波的极值点T1内的信号Vpp值,T1=t1+t2;计算当前R波的极值点T2内的噪声Vpp值,T2=t3‑t1+t4‑t2,t3>t1,且t1∈t3,t4>t2,且t2∈t4;计算当前R波的信号Vpp值与噪声Vpp值的比值;若当前R波的比值小于当前R波的比值阈值,判定当前R波为噪声。本发明在检测到R波后在进行信噪比检测,可剔除类似R波的噪声,进一步提高R波检测的准确率。
  • 一种基于深度学习法心电节拍特征自动化提取及分类方法-201711167530.5
  • 李润川 - 郑州大学
  • 2017-11-21 - 2018-12-25 - A61B5/0456
  • 本发明涉及一种基于深度学习法心电节拍特征自动化提取及分类方法,其中,心电节拍特征自动化提取方法包括以下步骤:1)、采用双正交小波变换去除高频噪声和基线漂移;2)、通过二进样条小波变换产生极大极小值检测R波;3)、根据步骤2)R波基础上检测QRS波群及P、T波;然后,通过双向长短期记忆网络(Bi‑LSTM)对检测的波形数据信息进行心拍学习分类检测到的波形数据信息进行深度学习分类;本发明具有有效简化特征提取程序、对波形进行精准定位、心电信号精准分类的优点。
  • 一种心电信号R波检测方法及系统-201810729895.0
  • 董喜艳 - 四川斐讯信息技术有限公司
  • 2018-07-06 - 2018-12-18 - A61B5/0456
  • 本发明公开了一种心电信号R波检测方法及系统,方法包括:对心电信号进行初始R波检测,得到若干个R波的极值点和第一特征参数;根据若干个R波的第一特征参数计算得到特征参数阈值;计算当前R波的信噪比;若当前R波的信噪比大于当前R波对应的信噪比阈值,则计算当前R波的第二特征参数;若当前R波的第二特征参数满足所述特征参数阈值时,则当前R波为目标R波;当得到目标R波后,根据目标R波的第一特征参数更新初始R波检测时的阈值。本发明先通过初始检测,然后通过信噪比检测,进一步去除类似R波的噪声,最后再根据初始检测到的R波计算得到的特征参数阈值对R波进行更严格的检测,使得最后检测出的R波的准确率较高。
  • 一种心电信号R波检测方法及系统-201810734046.4
  • 董喜艳 - 四川斐讯信息技术有限公司
  • 2018-07-06 - 2018-12-18 - A61B5/0456
  • 本发明公开了一种心电信号R波检测方法及系统,方法包括以下步骤:计算心电信号的各个采样点的二阶差分值;若当前采样点的二阶差分值大于第一预设差分阈值,则在心电信号中找到当前采样点的前拐点和后拐点;根据前拐点、当前采样点和后拐点组成一波形,计算波形的特征参数,若特征参数满足预设条件,则确定当前采样点对应的波形为R波。本发明先通过二阶差分值对波形的圆钝进行判别,筛选出R波和类似R波,然后根据波形的特征参数进一步筛选出真正的R波;整个计算过程没有涉及到过大的计算量,所以算法复杂度低;并且通过二阶差分值和R波的波形特征参数进行双重筛选,可提高R波的检测准确率。
  • 动态心电时间散点图逆向分析方法-201810680078.0
  • 方宇;魏晓舟;刘国立 - 广州迪茂信息科技有限公司
  • 2018-06-27 - 2018-11-20 - A61B5/0456
  • 本发明涉及一种动态心电时间散点图逆向分析方法,包括以下步骤:S1:对采集来的动态心电信号进行自动分析识别R波位置;S2‑1:根据步骤S1识别的R波位置,将相邻R波的间隔作为纵坐标,相邻R波的间隔也作为横坐标,绘制时间散点图;S2‑2:将时间散点图上圈选的范围,进行逆向运算;S2‑3:将通过时间散点图逆向方法找到的多个心电图片段显示出来,选择任一心电图片段放大,供用户对比查看。本发明提供的动态心电时间散点图逆向分析方法能够有效地辅助操作者将不同间期范围及时间段的心电波形筛选出,从而进行批量编辑操作。
  • 一种心电图R波检测方法及装置-201510998973.3
  • 王宏飞;颜昊霖;牟荣增 - 中科院微电子研究所昆山分所
  • 2015-12-28 - 2018-06-08 - A61B5/0456
  • 本申请提供了一种心电图R波检测方法及装置,心电图R波检测方法包括:计算心电图ECG信号的第i1个信号采样点的斜率算子,所述i1={1,2,…,N},N为大于1的整数,N为ECG信号的信号采样点的个数;在第i1个信号采样点的斜率算子大于预设斜率算子阈值时,在第i1个信号采样点所属预设长度的信号片段内查找最大斜率算子;将最大斜率算子的坐标作为R波坐标,以完成对R波的检测。在本申请中,保证查找到的最大斜率算子更加精确,从而使R波坐标更加精确,使检测到的R波更加可靠,有效的完成了对R波的检测。
  • 一种人体心电R波检测系统-201621488075.X
  • 刘邝朋;李红刚;杨中元;任宇坪 - 天津市鹰泰利安康医疗科技有限责任公司
  • 2016-12-30 - 2018-04-03 - A61B5/0456
  • 本实用新型提供了一种人体心电R波检测系统,包括脉搏波信号采集模块、信号处理单元、高压脉冲肿瘤治疗系统,脉搏波信号采集模块将采集的脉搏信息传递给信号处理单元,信号处理单元将接收到的脉搏信号处理后发送给实时显示装置和高压脉冲肿瘤治疗系统,高压脉冲肿瘤治疗系统接收到信号后实现放电过程。脉搏波是以血液流动为载体的一种能量表现形式,其传导不受高频高压电信号影响,使用本实用新型在高压脉冲肿瘤治疗中,无需等待恢复时间,仍可计算出R波时刻,数据稳定可靠,避免了传统心电导联方法检测R波易受高压脉冲信号影响的缺陷,降低手术过程中高压脉冲对病人的风险。
  • 一种心电信号波形特征点的提取方法及装置-201610120611.9
  • 郑慧敏 - 深圳竹信科技有限公司
  • 2016-03-03 - 2018-03-16 - A61B5/0456
  • 本发明公开了一种心电信号波形特征点的提取方法包括QRS波点、P波点和T波点的位置确定。QRS波点的位置确定平稳小波变换处理心电信号,确定QRS波体现最优的目标层和T、P波体现最优的目标层,找出相应目标层的极大值极小值对,并去除不符合要求的极大值极小值对,对R波点位置进行错检和漏检,获得最终的R波点位置,然后确定Q波点和S波点位置,根据T、P波体现最优的目标层确定的QRS波确定P波点和T波点的位置。上述QRS波点、T波点及P波点位置的确定均用到了平稳小波变换,平稳小波变换相对于离散小波变换能够有效避免尺度变大时分辨率的损伤,同时移动不变形,有效解决了现有技术中存在的问题。
  • 一种R波检测装置-201610719481.0
  • 李柳丹 - 四川锦江电子科技有限公司
  • 2016-08-24 - 2018-03-09 - A61B5/0456
  • 本发明公开了一种R波检测装置,包括电生理信号检测模块、中值滤波模块、校正补偿模块、带通滤波模块、幅度比例时间联合滤波模块、小波分解模块、以及R波选择模块。其能够有效减小因心电信号电压基线漂移和外部信号干扰造成的心率感知误差,提高R波检测的准确度。
  • 一种心电图R波检测方法及装置、便携式智能移动终端-201610305877.0
  • 王元元;陈岚;吕超 - 中国科学院微电子研究所
  • 2016-05-10 - 2017-11-17 - A61B5/0456
  • 本申请提供一种心电图R波检测方法及装置、便携式智能移动终端;所述心电图R波检测方法包括获取采样当前值,预设区域时间段,并在所述区域时间段内获取待判断采样值,判断采样当前值的振幅是否大于待判断采样值的振幅,若否时,将待判断采样值作为新的采样当前值,再重新开始区域时间段,继续比较,直到该区域时间段内的待判断采样值的振幅都小于所述采样当前值的振幅时,确定所述采样当前值为R波。利用在心电周期中正常心电R波的振幅是区域极大值的特点,只需要不断采样、判断比较采样值的振幅和采样当前值的振幅大小即可实现R波的快速检测。由于对存储要求和运算部件要求较低,从而能够容易地在智能手机等移动终端上实现R波的检测。
  • 一种心电图R波检测方法以及系统-201710277305.0
  • 高卫红;刘德清 - 深圳开立生物医疗科技股份有限公司
  • 2017-04-25 - 2017-07-25 - A61B5/0456
  • 本发明实施例公开了一种心电图R波检测方法以及系统,用于提高R波检测的准确性。本发明实施例方法包括采集心电信号,并将心电信号作为原始信号;根据原始信号,获取第一信号和第二信号;分别对第一信号和第二信号按照预设方式进行滤波处理,得到滤波后的ECG信号和QRS波群信号;对QRS波群信号进行R波检测,以获取R波的数据信息;将R波的数据信息映射至ECG信号,以获取心电信号的R波。
  • 控制用于检测生理信号的顶峰的阈值的设备和方法-201310067856.6
  • 崔昌穆;金渊皓;申健洙 - 三星电子株式会社
  • 2013-03-04 - 2017-05-17 - A61B5/0456
  • 提供控制用于检测生理信号的顶峰的阈值的设备和方法。用于检测要进行检查的人的生理信号或者可变地控制用于检测要进行检查的人的生理信号的顶峰的阈值的方法和设备。该方法包括获得从要进行检查的人测量的生理信号;根据对获得的生理信号与阈值进行比较的结果确定是否检测到生理信号的顶峰;以及根据确定的结果考虑阈值和检测的顶峰的特征值之一和用于检测生理信号的顶峰的阈值的预定最小值来控制阈值。当用于检测生理信号的顶峰的可变阈值被控制时,即使顶峰之间的间隔不规则或者顶峰的值存在大差别,仍能够精确检测顶峰。
  • 一种多通道心电图的QRS自动划定方法-201610388877.1
  • 赵晓鹏;姚剑;何挺挺;姚志邦 - 浙江铭众科技有限公司;浙江铭众医疗器械有限公司;浙江铭众生物医用材料与器械研究院
  • 2016-06-02 - 2017-03-29 - A61B5/0456
  • 本发明公开了一种多通道心电图的QRS自动划定方法,其采用小波变换生成每个通道的实时能量曲线,对每个通道的能量曲线计算其峰度和其他信号质量的度量,对能量曲线取其绝对值,根据信号质量的度量对多个通道取绝对值后的能量曲线计算其加权平均,该平均后的能量曲线作为综合的心电能量曲线,并对其进行划定。由此本发明避免了由于个别通道信号划定不同步而产生R峰检测出错的问题;同时在信号拟合的过程中参考了信号的峰度值,避免了由于某通道信号波形质量太差而造成整体综合能量曲线质量下降的问题。因此本发明方法检测得到的心电R峰效果稳定准确,特别是当心电信号中部分通道信号质量不过关时,也能准确的检测到R峰的位置。
  • 一种心律异常自动标识装置-201410066162.5
  • 付云波;许海芬 - 深圳市理邦精密仪器股份有限公司
  • 2014-02-26 - 2017-03-22 - A61B5/0456
  • 本发明涉及医疗仪器技术领域,具体涉及一种心律异常自动标识装置及其标识方法,本发明的方法包括接收心电图机所获取的实时的心律数据,并对该心律数据进行预处理,得到心律参数与第一判断阈值和第二判断阈值;将心律参数与第一、二判断阈值进行比较,根据比较结果在该心律参数中添加不同的标记;再对心律参数中的标记进行判断,并根据心律参数中的标记调取颜色参数,并根据颜色参数以其颜色对该心律参数中的波形进行绘制;本发明采用不同颜色的标记对不同严重等级的波形进行标识;其使得用户可以根据标记的颜色判断心律数据等级,其大大的提高了用户的诊断效率,也有效的保证了诊断的质量。
  • 中医心藏功能的检测方法-201510846258.8
  • 张启明 - 北京期颐科技有限公司
  • 2015-11-26 - 2016-04-13 - A61B5/0456
  • 本发明提供一种中医心藏功能的检测方法,涉及人体指征检测技术。所述检测方法包括:在预设时间段内采集受试者的心电信号,从所述心电信号中提取正常的R波顶点,并获得RR间期时间序列,根据所述RR间期时间序列获得Poincare散点图的长轴和短轴数据,计算获得所述短轴与长轴之比和/或所述Poincare散点图面积,以根据该长轴数据、短轴数据、短轴与长轴之比和/或Poincare散点图面积确定受试者的心藏主血脉功能,从而有助于实现中医心藏功能检测的客观化,提高对中医心藏功能检测的准确性。
  • R波检测方法-201510869947.0
  • D·布鲁德尼克;D·G·杰森 - APN健康有限责任公司
  • 2012-09-07 - 2016-02-17 - A61B5/0456
  • 一种用于从得自于活体的ECG信号(x(t))检测R波的方法,所述方法包括下述步骤:(a)从活体获取ECG信号;(b)将ECG信号数字化为数字ECG信号(x(ti));(c)用带通滤波器对数字ECG信号进行滤波(53),并且,对数字ECG信号应用绝对值滤波器(55),以产生滤波的ECG信号(g(ti));(d)对于滤波的ECG信号的每一个序列值,将滤波的ECG信号与ECG跟踪阈值(TT)进行比较(57);(e)如果滤波的ECG信号不大于TT,则递增计数器(59),但是,如果滤波的ECG信号大于TT,则将计数器设置为0;以及(f)将计数器与预定的不应计数RC进行比较(63),并且,如果计数等于RC,则输出指示已经检测到R波的R波触发。
  • 一种可适应心电波形病理变化的R波快速检测方法-201510629904.5
  • 王玲;史超;马建爱;战鹏弘;樊瑜波;李德玉;李淑宇;张弛;朱昭苇 - 北京航空航天大学
  • 2015-09-29 - 2016-02-03 - A61B5/0456
  • 本发明公开了一种可适应心电波形病理变化的R波快速检测算法。该方法总结了心律不齐、倒波、W波、高尖P波、高尖T波等多种病态心电图在心电信号一阶导数及一阶导数平方信号上的不同特征,通过低阈值归零处理、R波分类检测和针对非典型R波形状的阈值判断和更新等策略,克服了传统的差分阈值法多个阈值设定的局限性以及不同病人心律变异较大对自适应阈值检测带来的影响。本方法算法简单易行,同时可实现对多种病理性心电图的快速准确R波检测,尤其适用于在移动便携式设备中对心电信号进行实时QRS波检测。利用MIT-BIH数据库对本发明算法进行检验,本发明算法的灵敏度为99.71%,阳性预测率为99.73%。
  • 全自动自主神经分析仪器-201520075850.8
  • 林海涛;何为;吴庆扬;陈涛;王兴政;刘永明 - 四川宇峰科技发展有限公司
  • 2015-02-04 - 2015-07-01 - A61B5/0456
  • 本实用新型公开的是全自动自主神经分析仪器,主要解决了现有技术中传统的自主神经检测设备需要检测人员密切配合导致检测结果存在差异的问题。本实用新型包括主机,连接在主机上的检测设备、显示设备和输入设备;其特征在于:所述检测设备由FPGA芯片,用于采集人体心电信号的心电电极,以及用于将心电电极检测到的心电信号传输到FPGA芯片的信号处理装置组成;所述信号处理装置由顺次连接的除颤保护电路、低漂移前置放大器、滤波器和AD采样电路组成。本实用新型具有结构简单、检测结果准确、体积小、功耗低、可靠性高等优点。
  • 一种心电信号预测方法与系统-201310648273.2
  • 聂金柱 - 乐普(北京)医疗器械股份有限公司
  • 2013-12-04 - 2015-06-10 - A61B5/0456
  • 本发明提供一种心电信号预测方法与系统,包括:对心电图信号进行实时采集;对采集到的心电图信号进行处理,并预估下一次心电图信号中的R波出现的时刻;根据所述下一次心电图信号中的R波出现的时刻,控制主动脉球囊的充放气操作。本发明能够对心电信号预测进行实时采集处理,并根据所预估的下一次心电图信号中的R波出现的时刻来控制主动脉球囊的充放气操作。本发明能够通过对心电信号的实时检测,来实现主动脉内球囊反博方法中对主动脉球囊充放气的实时控制,减少电气延迟、检测延迟和气路延迟所产生的影响。
  • 一种心电信号实时心率检测方法及系统-201310529979.7
  • 马亚全 - 深圳华清心仪医疗电子有限公司
  • 2013-10-30 - 2015-05-06 - A61B5/0456
  • 本发明公开了一种心电信号实时心率检测方法及系统,方法包括步骤:S1、将接收到的模拟心电信号转化为数字心电信号;S2、对数字心电信号中的导联II信号进行预处理;S3、根据预处理后的导联II信号得到RR间隔;S4、根据RR间隔计算心率;S5、输出计算得到的心率。系统包括:用于接收模拟心电信号的输入装置;用于将模拟心电信号转换为数字心电信号的A/D转换器;用于对数字心电信号中的导联II信号进行预处理的预处理模块;用于根据预处理后的导联II信号获取RR间隔的R波检测模块;用于根据RR间隔计算心率的计算模块;用于输出心率的输出模块。实施本发明能克服现有技术心电信号心率检测中噪声的干扰,在较大精确度检测心率的同时满足实时性的要求。
  • 心电图仪和用于测量心电图的方法-201410087618.6
  • 仲山加奈子;铃木琢治;福家佐和 - 株式会社东芝
  • 2014-03-11 - 2014-10-15 - A61B5/0456
  • 按照实施例,提供心电图仪和用于测量心电图的方法。心电图仪包含第一和第二电极对,第一和第二检测器,和心电图检测器。第一线上的第一电极对的两个电极之间的第一距离和第二线上的第二电极对的两个电极之间的第二距离之间的差不超过第一阈值。由连接第二电极对的电极的第一和第二线段和连接第一电极对的电极的线段所形成角度不少于第二阈值。第一检测器被配置为检测作为第一电极对的差动电势的第一电势。第二电势检测器被配置为检测作为第二电极对的差动电势的第二电势。心电图检测器被配置为通过对于第一和第二差动电势进行减法处理来检测心电图。
  • 信息处理装置、代表波形生成方法以及代表波形生成程序-201280068842.X
  • 中田康之;猪又明大 - 富士通株式会社
  • 2012-02-01 - 2014-10-08 - A61B5/0456
  • 便携式终端(1)设置为具有:心电信号分割部(142),其将身体信号分割出具有规定间隔的波形;R-R间隔计算部(144),其针对由心电信号分割部分割出的每个具有规定间隔的波形,计算多个表示相邻的R波与R波之间的间隔的R-R间隔,并计算所计算出的多个R-R间隔的平均值;候补波形选择部(145),其利用由R-R间隔计算部针对每个具有规定间隔的波形计算出的R-R间隔的平均值,选择多个与平均值的频度呈现出极大值的附近的平均值对应的具有规定间隔的波形,因此,能够生成精度高的代表波形数据。
  • R波检测方法-201280052033.X
  • D·布鲁德尼克;D·G·杰森 - APN健康有限责任公司
  • 2012-09-07 - 2014-09-03 - A61B5/0456
  • 一种用于从得自于活体的ECG信号(x(t))检测R波的方法,所述方法包括下述步骤:(a)从活体获取ECG信号;(b)将ECG信号数字化为数字ECG信号(x(ti));(c)用带通滤波器对数字ECG信号进行滤波(53),并且,对数字ECG信号应用绝对值滤波器(55),以产生滤波的ECG信号(g(ti));(d)对于滤波的ECG信号的每一个序列值,将滤波的ECG信号与ECG跟踪阈值(TT)进行比较(57);(e)如果滤波的ECG信号不大于TT,则递增计数器(59),但是,如果滤波的ECG信号大于TT,则将计数器设置为0;以及(f)将计数器与预定的不应计数RC进行比较(63),并且,如果计数等于RC,则输出指示已经检测到R波的R波触发。
专利分类
×

专利文献下载

说明:

1、专利原文基于中国国家知识产权局专利说明书;

2、支持发明专利 、实用新型专利、外观设计专利(升级中);

3、专利数据每周两次同步更新,支持Adobe PDF格式;

4、内容包括专利技术的结构示意图流程工艺图技术构造图

5、已全新升级为极速版,下载速度显著提升!欢迎使用!

请您登陆后,进行下载,点击【登陆】 【注册】

关于我们 寻求报道 投稿须知 广告合作 版权声明 网站地图 友情链接 企业标识 联系我们

钻瓜专利网在线咨询

400-8765-105周一至周五 9:00-18:00

咨询在线客服咨询在线客服
tel code back_top