[发明专利]一种面向维护系统星型拓扑结构的FPGA优化方法及系统有效

专利信息
申请号: 202010386603.5 申请日: 2020-05-09
公开(公告)号: CN111709203B 公开(公告)日: 2023-08-15
发明(设计)人: 吴汉明;冯光涛 申请(专利权)人: 芯创智(北京)微电子有限公司
主分类号: G06F30/343 分类号: G06F30/343
代理公司: 北京天悦专利代理事务所(普通合伙) 11311 代理人: 田明;杨方
地址: 100176 北京市大兴区北京经济*** 国省代码: 北京;11
权利要求书: 查看更多 说明书: 查看更多
摘要: 发明公开了一种面向维护系统星型拓扑结构的FPGA优化方法及系统,方法包括:S100、在多个FPGA分片中的每个FPGA分片内增加一个维护中继模块与核心功能模块互连,用以将原来的单拍逻辑变为多拍逻辑;S200、基于多个FPGA分片内的维护中继模块,将多个FPGA分片与维护系统功能模块之间的连接结构从星型拓扑结构转换为串行结构。本发明将星型拓扑结构转换为串行结构,解决多核规模下维护系统的多片FPGA互连问题;增加维护中继模块,将原来的单拍逻辑变为多拍逻辑,使用触发器将穿片逻辑打两拍,解决穿片逻辑时序收敛问题。
搜索关键词: 一种 面向 维护 系统 拓扑 结构 fpga 优化 方法
【主权项】:
暂无信息
下载完整专利技术内容需要扣除积分,VIP会员可以免费下载。

该专利技术资料仅供研究查看技术是否侵权等信息,商用须获得专利权人授权。该专利全部权利属于芯创智(北京)微电子有限公司,未经芯创智(北京)微电子有限公司许可,擅自商用是侵权行为。如果您想购买此专利、获得商业授权和技术合作,请联系【客服

本文链接:http://www.vipzhuanli.com/patent/202010386603.5/,转载请声明来源钻瓜专利网。

同类专利
  • 一种超节点的提取方法及系统-202310954697.5
  • 贝泽华;杨静磊;唐洁群 - 上海合见工业软件集团有限公司
  • 2023-08-01 - 2023-10-20 - G06F30/343
  • 本发明涉及电子设计自动化技术领域,特别是涉及一种超节点的提取方法及系统,根据时序电路的时序图,提取有向图;获取所述有向图中的目标关键路径终点,获取所述有向图中的目标关键路径终点,根据预设的延时阈值提取结束于每个目标关键路径终点的所有目标关键路径,每个目标关键路径终点的所有目标关键路径上的边和节点构成的关键锥形子图;将所有关键锥形子图合并为关键有向图G_crit,对G_crit中的节点进行拓扑排序并依次访问以获取超节点,在进行路径规划时超节点作为一个整体能够减少计算资源,降低计算复杂度。
  • 一种逻辑复制方法、装置及设备-202310654106.2
  • 胡龙威;邵中尉;张吉峰 - 上海思尔芯技术股份有限公司
  • 2023-06-02 - 2023-10-10 - G06F30/343
  • 本发明提供了一种逻辑复制方法、装置及设备,通过拓扑信息建模得到用户设计超图;将用户设计超图的第一节点与基础模块有向图的第二节点对比,将类型一致的两个节点均存入候选节点集列表;删除无效节点之后构建疑似同构子图节点列表,并去重以及验证得到符合同构要求的同构子图;将同构子图进行还原,并将重复模块的比特流下载至具有同构关系的组网单元。本发明自动搜寻电子集成电路与基础模块相同的所有重复模块,提高检测重复模块的效率和准确度;本发明自动搜索用户设计超图中无共用节点的重复模块图的最大规模组合,从而将重复模块编译产生的比特流下载到同构组网单元中,如此可以避免重复编译重复模块,大量节约编译时间。
  • 一种逻辑复制中坏pin的预处理方法及装置-202310905867.0
  • 陈凯;肖慧;张吉锋;邵中尉 - 上海思尔芯技术股份有限公司
  • 2023-07-21 - 2023-10-03 - G06F30/343
  • 本发明公开了一种逻辑复制中坏pin的预处理方法及装置,包括:获取多个具有复制逻辑模块的FPGA,每个具有复制逻辑模块的FPGA均包括多个引脚;使用边缘检测法对具有复制逻辑模块的FPGA中的引脚进行检测,获取每个具有复制逻辑模块的FPGA中损坏的引脚,并进行记录;根据所有的具有复制逻辑模块的FPGA中损坏的引脚,构建损坏引脚集合;对损坏引脚集合进行预处理,以保障具有复制逻辑模块的FPGA的正常运行。本发明能够保证逻辑复制的正常进行,并节约重复处理的时间。
  • 基于奇偶校验提高FPGA运行可靠性的方法-202110953830.6
  • 单悦尔;徐彦峰;季振凯;惠锋 - 无锡中微亿芯有限公司
  • 2021-08-19 - 2023-09-05 - G06F30/343
  • 本发明公开了一种基于奇偶校验提高FPGA运行可靠性的方法,涉及FPGA技术领域,该方法在生成用户设计对应的初始配置码流后,将其处理为逻辑功能不变、但所有配置链具有全偶校验或者全奇校验的基准配置码流,继而再下载到FPGA内,FPGA正常运行用户设计的过程中,其内部控制电路通过校验器即可对存储的配置链进行校验,并在校验出错时由外部的基准配置码流覆盖更新,从而保证内部存储的基准配置码流的准确性,提高FPGA的运行可靠性。
  • 小芯片互联的高速接口代码验证系统、方法、设备及介质-202310580382.9
  • 苗雨豪;田陌晨;温德鑫;王艳;沈童 - 奇异摩尔(上海)集成电路设计有限公司
  • 2023-05-22 - 2023-08-29 - G06F30/343
  • 本发明提供一种小芯片互联的高速接口代码验证系统、方法、设备及介质,包括:代码获取模块,用以获取待验证高速接口代码;编译处理模块,用以对所述待验证高速接口代码进行编译处理,生成镜像文件;文件生成模块,用以获取执行文件与标准二进制文件;以及代码验证模块,用以将所述镜像文件、所述执行文件以及所述标准二进制文件传输至半定制电路中进行对比验证,并对所述镜像文件中出错的部分进行修正,以完成验证。通过本发明公开的一种小芯片互联的高速接口代码验证系统、方法、设备及介质,能够有效提升小芯片互联的高速接口代码验证效率。
  • 质量验证平台和质量验证方法-202011556695.3
  • 王常慧;赵方亮;宋国民;李杨;毛思琪 - 山东高云半导体科技有限公司
  • 2020-12-24 - 2023-08-29 - G06F30/343
  • 本申请公开了一种质量验证平台和质量验证方法,其中,该平台包括:质量保障模块,用于对FPGA产品进行质量测试,其中,质量测试至少包括:设计检查,综合验证,功能仿真和逻辑验证;综合优化检测模块,用于对通过质量测试的FPGA产品进行综合优化检测,得到当前检测结果,其中,综合优化检测至少包括:时间检测、资源检测和时序检测;记忆对比模块,用于将当前检测结果与历史检测结果进行比较,以验证FPGA产品的质量。通过本申请,解决了相关技术中由于FPGA产品的质量验证的操作复杂度较高,导致对FPGA产品的质量验证效率较低的技术问题。
  • 一种可编程逻辑电路实现方法、装置及电路-202310261026.0
  • 孙京航;勝满德;福岛庆多 - 长沙市东方芯科技有限责任公司
  • 2023-03-16 - 2023-08-15 - G06F30/343
  • 本发明公开了一种可编程逻辑电路实现方法、装置及电路,属于数字逻辑电路领域。首先确定可编程逻辑电路中所需的门电路;然后计算每个门电路的真值表,将真值表配置到存储器中,这样存储器的输入和输出与真值表相同,最后将配置完成的存储器构成可编程逻辑电路。本发明方案相当于用存储器替换门电路,当替换后,在时序验证时,由于存储器内部不存在计算过程,因此只要接收到输入就能输出,大大降低了时序验证时间。此外,存储器中不存在半导体和金属配线,因此不会额外消耗电流,降低了电流成本。
  • 一种面向维护系统星型拓扑结构的FPGA优化方法及系统-202010386603.5
  • 吴汉明;冯光涛 - 芯创智(北京)微电子有限公司
  • 2020-05-09 - 2023-08-15 - G06F30/343
  • 本发明公开了一种面向维护系统星型拓扑结构的FPGA优化方法及系统,方法包括:S100、在多个FPGA分片中的每个FPGA分片内增加一个维护中继模块与核心功能模块互连,用以将原来的单拍逻辑变为多拍逻辑;S200、基于多个FPGA分片内的维护中继模块,将多个FPGA分片与维护系统功能模块之间的连接结构从星型拓扑结构转换为串行结构。本发明将星型拓扑结构转换为串行结构,解决多核规模下维护系统的多片FPGA互连问题;增加维护中继模块,将原来的单拍逻辑变为多拍逻辑,使用触发器将穿片逻辑打两拍,解决穿片逻辑时序收敛问题。
  • 一种提高LUT合并效果的FPGA映射方法-202310531584.4
  • 刘桂林;王海力 - 京微齐力(北京)科技股份有限公司
  • 2023-05-11 - 2023-08-04 - G06F30/343
  • 本发明提供一种提高LUT合并效果的FPGA映射方法。该方法包括:首先确定LUT网表的子网表;统计所述子网表中LUT的输入端口数以及输入信号得到统计列表;基于所述统计列表查询LUT输入信号的共享输入数目;依次合并输入信号共享输入数目为5、4、3、2、1的LUT得到多输入两输出的查找表,提取其中各个LUT的公共上层模块,并对所述公共上层模块的层级进行排序,基于所述排序的结果,合并公共上层模块的层级低的LUT,以及,合并公共上层模块的层级高的LUT;针对没有共享输入的多个LUT,提取各个LUT的公共上层模块,先合并公共上层模块的层级低的LUT,以及再合并公共上层模块的层级高的LUT。如此,可以实现降低对布局、布线不利的合并,优先对布局、布线有利的合并。
  • 一种提前测试装箱结果的方法-202310421864.X
  • 王烽宇;夏燕;冯苏红 - 中科亿海微电子科技(苏州)有限公司
  • 2023-04-19 - 2023-08-04 - G06F30/343
  • 本发明提供一种提前测试装箱结果的方法包括:获取并解析网表文件、装箱文件、集群文件、映射文件;通过端口信号源参数的值确定端口实际是否发生转换;基于装箱前lut_mask的值确定逻辑单元的模式;基于所述逻辑单元的模式得到装箱后所述lut_mask的预期值;比对所述实际值和预期值,依照比对结果判断装箱是否准确。本发明的提前测试装箱结果的方法,便于用户提前获知装箱结果是否正确,提高了自动化设计的效率,更适合实际应用的情况;通过将比对结果写入结果文件便于用户确认装箱出错位置,简化自动化设计流程。
  • FPGA设计电路的时钟转换方法、系统-202310778592.9
  • 凌长师;孙亚强;李艳荣 - 深圳国微晶锐技术有限公司
  • 2023-06-29 - 2023-08-01 - G06F30/343
  • 本发明公开了一种FPGA设计电路的时钟转换方法、系统。其中时钟转换方法,包括:查找对应原时钟信号的门控时钟电路;将每一个门控时钟电路的原寄存器替换为使能控制寄存器模块,以使得对应的门控时钟电路输出的门控时钟信号提前一个时钟周期;在每一个门控时钟电路的输出端均插入边沿检测模块,以生成对应的原时钟信号驱动的寄存器的时钟使能信号,所述时钟使能信号比对应的原时钟信号边沿提前一个时钟周期;再使用相同的驱动时钟信号驱动所有原时序器件、边沿检测模块以及使能控制寄存器模块。本发明通用性强,可以针对所有门级网表电路的门控时钟电路进行转换,转换效率高,且转换后输出波形一致。
  • 一种用于FPGA芯片的装箱方法-202211066822.0
  • 余乐;郭宝金;于重重 - 北京工商大学
  • 2022-09-01 - 2023-07-25 - G06F30/343
  • 本发明涉及一种用于FPGA芯片的装箱方法,属于电子设计自动化技术领域。该方法执行如下步骤:1)归类特殊原语,将FPGA中的用户网表中符合特殊原语判定条件的DSP和RAM归类为特殊原语;2)预处理,将部分原语打包;3)判断是否有未装箱分子,若无则结束,若有则下一步;4)通过种子收益模型选择收益值最大的原语作为种子;5)根据待装箱Tile与原语之间的连接关系使用不同的装箱收益模型;6)引脚利用率判断,若符合要求返回步骤3)。本发明通过特殊原语判定条件,确定了特殊原语的适用条件,既不会因电路中RAM和DSP的比重高,导致周围原语选择性少;也不会因电路中加法器比重高,致使原语对电路划分会影响其吸收原语,造成资源消耗增加。
  • 一种提高电路设计调优工具运行效率的方法-202010433293.8
  • 靳松;王海力 - 京微齐力(北京)科技有限公司
  • 2020-05-21 - 2023-07-25 - G06F30/343
  • 本发明实施例提供了一种现场可编程门阵列电路设计的调优方法,该方法包括,对综合参数进行预定次数的修改,每次修改后运行综合过程,获得综合过程生成的综合结果;从各次综合过程生成的综合结果中,选择逻辑深度最小的,作为中间综合结果;利用中间综合结果,并对调优过程中除综合外其他阶段的运行参数进行修改,运行其他阶段的过程,生成优化结果;若优化结果达到预设的优化条件,则结束调优过程,若未达到,且未超出预设判断次数,继续执行其他过程参数修改和运行,若未达到,且超出预设判断次数,则继续执行综合参数修改和运行;输出优化结果。该方法减少了现有调优方法中大量的迭代和搜索步骤,降低了调优工具运行的复杂度,提高其运行速度。
  • 一种可配置、可重构的逻辑计算系统、芯片及控制方法-202010376396.5
  • 陈建军;尹宁远;金星;赵贵华;虞志益 - 中山大学
  • 2020-05-07 - 2023-07-21 - G06F30/343
  • 本发明公开了一种可配置、可重构的逻辑计算系统、芯片及控制方法,其中系统包括写数据选择模块、数据输入驱动模块、MTJ模块、逻辑配置感应模块和多逻辑重构模块,所述MTJ模块设有逻辑MTJ树和参考MTJ树。本发明通过灵活构建的逻辑MTJ树和参考MTJ树能够使一种计算架构附加简单的配置信号实现多种逻辑输出,而且利用磁隧道结的存储与计算一体实现了数据的原位计算,避免了数据频繁迁移造成的高功耗、互联延迟等现象产生,同时采用时间迭代中间逻辑的循环运算方式替代传统CMOS简单逻辑门的级联实现更加复杂的运算,极大地节省硬件资源,降低嵌入成本。可广泛的应用于集成电路领域。
  • 一种基于需求驱动验证的可编程逻辑器件验证方法及系统-202210318575.2
  • 李晨阳;刘涛;王静;马利;陈晟飞 - 中国电子科技集团公司第十研究所
  • 2022-03-29 - 2023-07-18 - G06F30/343
  • 本发明涉及可编程逻辑器件验证技术领域,公开了一种基于需求驱动验证的可编程逻辑器件验证方法及系统,该验证方法,包括以下步骤:S1,构建基于需求驱动的验证平台,并依据需求说明提取需求功能点,所述验证平台包括依次通信相连的命令层、功能层和信号层;S2,命令层根据需求功能点下发不同测试指令;S3,功能层提取任务和函数,执行测试指令并输出测试结果;S4,信号层提供被测件接口信号。本发明解决了现有技术存在的组件复杂、层次关系复杂、测试环境复杂度高、可重用性低等问题。
  • 一种多路选择器拆分方法、装置及电子设备-202310232869.8
  • 陈忆鹭;顾正华 - 上海立芯软件科技有限公司
  • 2023-03-10 - 2023-07-14 - G06F30/343
  • 本公开实施例中提供了一种多路选择器拆分方法、装置及电子设备。该方法包括:根据待拆分多路选择器的选择条件将所有选择条件做或运算,作为选择信号构建选择default项和非default项的第一MUX;计算所述待拆分多路选择器的两种方案的延时,若AndOr‑Tree方案的延时小于Mux‑Tree方案的延时,则将所述待拆分多路选择器的非default项按照二分法和预设公式构建待拆分多路选择器的与或树AndOr‑Tree;若Mux‑Tree方案的延时小于或等于AndOr‑Tree方案的延时,则将所述待拆分多路选择器的非default项按照选择信号构建待拆分多路选择器的2:1多路选择器树Mux‑Tree。通过本公开的方案,实现了多路选择器的综合优化。
  • FPGA的单粒子多位翻转处理方法、装置、FPGA和介质-202310391062.9
  • 蔡畅;高帅;张靖;陈更生;沈鸣杰;徐烈伟;俞军 - 复旦大学
  • 2023-04-12 - 2023-07-07 - G06F30/343
  • 本发明公开了一种FPGA的单粒子多位翻转处理方法、装置、FPGA和介质。所述方法包括:确定FPGA的资源对应的物理地址、FPGA位流区域和资源状态;对FPGA进行辐射实验,并根据FPGA的资源对应的物理地址、FPGA位流区域和资源状态,提取在数据提取周期内的故障时间中设定空间的m个元素M,提取在数据提取周期内的故障时间中设定控件的元素Mi和元素Mj,确定偏移集,根据偏移集确定FPGA的单粒子多位翻转,并制定加固策略。采用本方法能够在辐射实验中直接解析FPGA内部发生的单粒子多位翻转,从而针对FPGA内部发生的单粒子多位翻转制定加固策略,提高FPGA系统的可靠性。
  • 一种基于可配置断点重启的FPGA综合快速迭代方法及系统-202010312136.1
  • 朱敏;吴汉明 - 芯创智(上海)微电子有限公司
  • 2020-04-20 - 2023-07-07 - G06F30/343
  • 本发明公开了一种基于可配置断点重启的FPGA综合快速迭代方法及系统,方法包括:S100、将待检查项存入关键字库中,同时在整个FPGA综合的实现过程中设置多个断点;S200、基于关键字库中的待检查项,对FPGA综合的日志文件进行自动搜索,得到自动结果;S300、检查自动结果,若确定自动结果出现错误,则根据需要修改的内容确定开始重启FPGA综合的断点;S400、从确定的断点处重启FPGA综合,进行快速迭代。本发明基于可扩展的关键字库的自动结果检查,能够快速判断FPGA综合结果正确性,提高检查效率;通过配置断点重启可以实现FPGA综合快速迭代,避免不必要的重复运行步骤。
  • 一种基于FPGA的应答机数字AOC处理方法和装置-201910982972.8
  • 夏喜龙;郝精一 - 四川九洲空管科技有限责任公司
  • 2019-10-16 - 2023-07-07 - G06F30/343
  • 本发明公开了一种基于FPGA的应答机数字AOC处理方法和装置,将数字AOC装置作为应答机数字解调调制单元的一部分,用于生成门限控制信号,控制视频处理单元的灵敏度。通过数字AOC功能,降低应答速率,从而保护了发射机;抑制了应答机对较弱信号的应答,保证了对强信号的响应,提升了监视性能。本发明的效果是:(1)性能参数稳定:采用了数字电路实现,设计时采用同步逻辑设计,可靠稳定;(2)调试简单:采用数字电路后,参数固化在程序中,无需调试;(3)便于系统集成:由于采用数字逻辑实现,便于与其他数字处理逻辑集成,减少设备尺寸、重量、功耗,提升设备经济性;(4)该模块上升速率为0.1dB/ms,下降速率为0.083dB/ms,满足DoD AIMS 03‑1000A的要求。
  • 面向FPGA的片上SDRAM接口验证系统-202310332294.7
  • 樊庆扬;陈帅铭;卫铭斐 - 西安建筑科技大学
  • 2023-03-31 - 2023-06-30 - G06F30/343
  • 本发明公开了一种面向FPGA的片上SDRAM接口验证系统,主要解决现有技术中验证方式不灵活、且验证效率低的问题。方案包括:静态分析单元、动态测试单元、逻辑测试单元以及回归测试单元;通过静态分析单元对接口的需求规格说明文档和代码规则进行审查,产生待测SDRAM组件;通过搭建验证平台、设计测试用例、收集覆盖率实现动态分析单元,由验证平台生成受约束的随机化激励,采用典型测试用例启动验证平台,并通过收集覆盖率表征验证结果;设计逻辑测试单元和回归测试单元验证收敛过程,在动态测试中若覆盖率未达到预设目标,则进行逻辑测试、回归迭代,达到目标覆盖率。本发明能够有效提升验证效率,满足高安全性、高可靠性的要求。
  • 半导体LSI设计装置以及设计方法-201910256473.0
  • 上园巧;鸟羽忠信;白石雅裕;原田英雄;西川悟史 - 株式会社日立制作所
  • 2019-03-29 - 2023-06-27 - G06F30/343
  • 本发明提供一种控制装置的逻辑安装时的必要资源削减技术和验证技术。具备以下单元来构成半导体LSI设计装置:通过赋予功能块的运算顺序的连接信息而生成组合电路的单元,该组合电路通过组合根据应用程序规格在功能块库中定义的功能块而构成;将所述组合电路转换为能够应用于以时分方式多次使用功能块的顺序电路的运算顺序信息的单元;根据生成的运算顺序信息逆转换为组合电路的单元;验证所述组合电路和逆转换的组合电路的逻辑等价性的单元;以及结合所述运算顺序信息、所述顺序电路以及功能块的单元。
  • 实现状态数据捕获的方法、装置、计算机存储介质及终端-202310094751.3
  • 敦洋洋;赵井坤;王常慧;李杨;张览 - 广东高云半导体科技股份有限公司
  • 2023-01-18 - 2023-06-23 - G06F30/343
  • 本文公开一种实现状态数据捕获的方法、装置、计算机存储介质及终端,包括:根据预先存储于在线逻辑分析仪中的触发条件,生成记录触发条件的比特流文件;将生成的记录触发条件的比特流文件烧录到现场可编程门阵列(FPGA),以使在线逻辑分析仪核根据触发条件从FPGA上电开始进行状态数据的捕获。本发明实施例将记录触发条件的比特流文件烧录到FPGA后,在线逻辑分析仪即可通过触发条件自动匹配并完成上电初期的状态数据的捕获,实现了上电初期的满足触发条件的状态数据的自动捕获,为实现设计初始运行状态的测试和验证提供了数据支持。
  • 一种基于逻辑门分解的FPGA工艺映射算法-202211702389.5
  • 吴昌;范龙飞 - 复旦大学
  • 2022-12-28 - 2023-05-30 - G06F30/343
  • 本发明属于FPGA综合电子设计自动化技术领域,具体为基于逻辑门分解的FPGA工艺映射算法。本发明算法在工艺映射的过程中有选择的进行逻辑门分解,以优化综合的电路的面积与时序性能;算法同时执行宽逻辑门分解和K‑cut切割枚举映射;在执行映射时根据映射需要动态生成宽逻辑门的分解形式,避免预先做逻辑门分解造成的分解数量与优化效果的矛盾,达到缩短计算时间又同时取得更好的优化效果的目的。本发明既适用于FPGA综合EDA工具开发,也可应用于FPGA硬件仿真系统EDA工具的开发。本发明的逻辑门分解与工艺映射的思想也适用于ASIC工艺映射的算法与EDA工具的开发。
  • 基于图神经网络的高层次综合调度方法及装置-202211516178.2
  • 姚海龙;曾军;寇明阳 - 清华大学
  • 2022-11-29 - 2023-05-30 - G06F30/343
  • 本发明提供一种基于图神经网络的高层次综合调度方法及装置,包括:获取待处理的有向无环图;提取所述有向无环图中的各待调度节点,并确定各所述待调度节点的邻接矩阵和节点属性;将各所述待调度节点的邻接矩阵和节点属性输入预先构建的调度模型,以得到各所述待调度节点的调度优先级;其中,所述调度模型是基于图神经网络利用有向无环图样本进行训练得到的。本发明利用有向无环图样本训练预先构建的调度模型,基于训练好的调度模型,根据待处理的有向无环图中各所述待调度节点的邻接矩阵和节点属性预测各所述待调度节点的调度优先级,能够更准确对调度优先级进行预测,实现质量较高、效率较高的高层次综合调度。
专利分类
×

专利文献下载

说明:

1、专利原文基于中国国家知识产权局专利说明书;

2、支持发明专利 、实用新型专利、外观设计专利(升级中);

3、专利数据每周两次同步更新,支持Adobe PDF格式;

4、内容包括专利技术的结构示意图流程工艺图技术构造图

5、已全新升级为极速版,下载速度显著提升!欢迎使用!

请您登陆后,进行下载,点击【登陆】 【注册】

关于我们 寻求报道 投稿须知 广告合作 版权声明 网站地图 友情链接 企业标识 联系我们

钻瓜专利网在线咨询

400-8765-105周一至周五 9:00-18:00

咨询在线客服咨询在线客服
tel code back_top